SEARCH
GO
Engineering Jobs
Full Time
9/23/2024
San Francisco, CA 94102
(6.8 miles)
Thank you for your interest in working for our Company. Recruiting the right talent is crucial to our goals. On April 1, 2024, 3M Healthcare underwent a corporate spin-off leading to the creation of a new company named Solventum. We are still in the process of updating our Careers Page and applicant documents, which currently have 3M branding. Please bear with us. In the interim, our Privacy Policy here: https://www.solventum.com/en-us/home/legal/website-privacy-statement/applicant-privacy/ continues to apply to any personal information you submit, and the 3M-branded positions listed on our Careers Page are for Solventum positions. As it was with 3M, at Solventum all qualified applicants will receive consideration for employment without regard to their race, color, religion, sex, sexual orientation, gender identity, national origin, disability, or status as a protected veteran.Job Description:Bioprocess Applications Engineer - Biopharma (Solventum)3M Health Care is now SolventumAt Solventum, we enable better, smarter, safer healthcare to improve lives. As a new company with a long legacy of creating breakthrough solutions for our customers’ toughest challenges, we pioneer game-changing innovations at the intersection of health, material and data science that change patients' lives for the better while enabling healthcare professionals to perform at their best. Because people, and their wellbeing, are at the heart of every scientific advancement we pursue. We partner closely with the brightest minds in healthcare to ensure that every solution we create melds the latest technology with compassion and empathy. Because at Solventum, we never stop solving for you.The Impact You’ll Make in this Role: As a Bioprocess Applications Engineer, you will have the opportunity to tap into your curiosity and collaborate with some of the most innovative and diverse people around the world. Here, you will make an impact by:Working in the Bioprocessing Filtration business cross-functional team (including Global Key Accounts) to drive growth through collaborations with customers and external industry experts to implement manufacturing purification solutions based on the innovative integration of multiple differentiated Solventum product platforms.Providing product application engineering support throughout the lifecycle of the Solventum product portfolioBecoming a regional bioprocessing expert in the industry through extensive collaborations across Solventum’ s customer base and elevate Solventum’ s visibility and reputation through joint publications, presentations, and industry participation.Working across the relevant Solventum organizations to provide customer insights, support customer-centric sales and marketing activities, and champion upcoming product evaluations at customer sites.Your Skills and Expertise To set you up for success in this role from day one, Solventum requires (at a minimum) the following qualifications:Bachelor’s Degree or higher in a Science or Engineering disciplineTwo (2) years of bioprocess development and/or manufacturing science and technology (MSAT) experience in a commercial environmentTwo (2) years of key account customer support and engagement internal and external in molecule pipeline and expected revenue schemesExperience in on-site and remote support to biopharmaceutical companies (start-ups to GKAs) for filter selection and sizing, process optimization, troubleshooting, and scale-upExperience supporting the customer through FDA drug approval process in proteins, vaccines, and viral vectors in recombinant protein biopharmaceutical processExperience in Virus filtration AND sterile filtrationExperience evaluating filtration steps to optimize key process parameters with a distinct focus on process economics modelingExperienced in analytic methods to characterize process fluids such as HPLC, KTA , ELISA, PCR and DLSAdditional qualifications that could help you succeed even further in this role include:Ph.D. in Chemical Engineering, Biochemical Engineering, Bioengineering, or related discipline from an accredited institutionWorking knowledge of advanced modality processing such as gene and cell therapyWorking knowledge of protein purification processesTrack record of technical collaborations across internal and external organizations, professional technical conference presentations and peer-reviewed publications.Work location:Remote San Francisco Bay, California AreaTravel: To customer sites within San Francisco Bay and surrounding areas. 10% Domestic and international travel maybe includedRelocation Assistance: May be authorizedSupporting Your Well-beingSolventum offers many programs to help you live your best life – both physically and financially. To ensure competitive pay and benefits, Solventum regularly benchmarks with other companies that are comparable in size and scope.Applicable to US Applicants Only:The expected compensation range for this position is $119,076 - $145,537, which includes base pay plus variable incentive pay, if eligible. This range represents a good faith estimate for this position. The specific compensation offered to a candidate may vary based on factors including, but not limited to, the candidate’s relevant knowledge, training, skills, work location, and/or experience. In addition, this position may be eligible for a range of benefits (e.g., Medical, Dental & Vision, Health Savings Accounts, Health Care & Dependent Care Flexible Spending Accounts, Disability Benefits, Life Insurance, Voluntary Benefits, Paid Absences and Retirement Benefits, etc.). Additional information is available at: https://www.solventum.com/en-us/home/our-company/careers/#Total-RewardsResponsibilities of this position include that corporate policies, procedures and security standards are complied with while performing assigned duties.Solventum is committed to maintaining the highest standards of integrity and professionalism in our recruitment process. Applicants must remain alert to fraudulent job postings and recruitment schemes that falsely claim to represent Solventum and seek to exploit job seekers.Please note that all email communications from Solventum regarding job opportunities with the company will be from an email with a domain of @solventum.com. Be wary of unsolicited emails or messages regarding Solventum job opportunities from emails with other email domains.Solventum is an equal opportunity employer. Solventum will not discriminate against any applicant for employment on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, age, disability, or veteran status.Please note: your application may not be considered if you do not provide your education and work history, either by: 1) uploading a resume, or 2) entering the information into the application fields directly.Solventum Global Terms of Use and Privacy StatementCarefully read these Terms of Use before using this website. Your access to and use of this website and application for a job at Solventum are conditioned on your acceptance and compliance with these terms.Please access the linked document by clicking here, select the country where you are applying for employment, and review. Before submitting your application you will be asked to confirm your agreement with theterms.
Full Time
9/13/2024
Oakland, CA 94616
(13.5 miles)
Your Role The Developer and Employee Experience team is responsible for creation of the standard and automation pipelines that enable our partnering IT teams to build, release, and manage their systems. We are setting the path for how we’ll migrate to the Cloud and ensure that we are guiding the movement to a more modern DevOps operating model. The Sr. Principal Enterprise Cloud Engineer will report to the Vice President of Developer & Employee Experience. In this role you will drive the creation and maintenance of enterprise technology capabilities and technology patterns to enable our teams to move to the Cloud. You will also define integrated roadmaps that guide our strategic investments to support our enterprise initiatives for Blue Shield of California. Our leadership model is about developing great leaders at all levels and creating opportunities for our people to grow – personally, professionally, and financially. We are looking for leaders that are energized by creative and critical thinking, building and sustaining high-performing teams, getting results the right way, and fostering continuous learning. Your Work In this role, you will: Help create the sense of psychological safety, enabling teams to try and learn new areas of technology.Solve technical problems of the highest scope and complexity for the entire organizationCollaborates with and leads other architects and engineers to create and document standards and patterns that can be leveraged for future re-use, and actively seek opportunities to leverage industry solutions for new business offerings and servicesDrives innovation across engineering with a willingness to experiment and bodly confront problems of immense complexity and scopeExert significant influence over BlueShield of California long-term goals and executionEnsure that our standards for style, maintainability, and best practices are suitable for the unique problems of scale and diversity of use represented by BlueShield of CaliforniaDrive significant improvements for BlueShield of California use, ease of development, and technical efficiency through effective collaboration and prolific technical contributions.Provide mentorship for engineers, with a particular focus on Staff+ engineers, to help them grow in their responsibilities.Share expertise across the entire organizationShip extremely high-impact features and improvements with minimal guidance and support from other members of the organizationServes as a trusted advisor to leaders and business stakeholders in the development of the strategic portfolio or business unit plansProvides comprehensive analytical thinking to ensure transformation costs, impact and value are properly understood estimated and controlled across people, process and technologyCollaborates with customers, vendors, industry consultants and senior company leadership to continuously learn and identify opportunities to advance on our business strategyDefines the technology roadmap and architecture to accelerate cloud initiativesUnderstanding of business process design methods and tools a plusStrong situational analysis and decision making abilitiesAbility to tailor communications to the C-suite levelYour Knowledge and Experience Master’s degree preferred. Minimum Bachelor’s degree or equivalent experience12+ years relevant business and hands on IT experience using various technologies like Linux and/or Windows, firewalls, IPAM solutions, monitoring/logging tools using Azure monitor or Splunk.3+ years of experience of working in the healthcare industry is desired5+ years of architecture practice experience with one or more cloud technologies such as Azure, Google Cloud, Amazong Web Services.Expert engineer who champions and continuously drives the advancement of the architecture operating model, governance, processes, policies, standards, and framework for the Developer and Empolyee Experience capabilityCreates, refines and puts into practice repeatable architecture frameworks and processes to enable complex cross-functional initiatives related to major business initiativesProvides vision and strategic direction and application for one or more architecture domains (business, information, application and technology)Develop and maintain strategies and solutions for the enterprise in collaboration with executive leadership across the business and ITPossesses the excellent interpersonal skills necessary to articulate the value of a strong enterprise architecture function to critical business stakeholdersAdvanced knowledge and experience with cloud technologies, defining technologystandards and implementation roadmaps for one or more of the following: Azure, Google Cloud Platform, Amazon Web Services.Demonstrated proficiency in understanding enterprise architecture life cycle methodologies Pay Range: The pay range for this role is: $ 172260.00 to $ 258280.00 for California. Notes: Please note that this range represents the pay range for this and many other positions at Blue Shield that fall into this pay grade. Blue Shield salaries are based on a variety of factors, including the candidate's experience, location (California, Bay area, or outside California), and current employee salaries for similar roles. #LI-FB1
Full Time
9/24/2024
Daly City, CA 94013
(0.8 miles)
ADVANCING OUR OPERATIONSIn order for us to complete our missions, our technology simply cannot fail. Covering a wide range of specialties ranging from aeronautical and computer systems to flight test and mechanical, Developmental Engineers provide advanced skill and knowledge of their particular specialties. Responsible for everything from the planning to implementation of their projects, these experts are essential to the success of operations all over the world.REQUIREMENTSYou must meet several requirements before joining the Air Force. These concern your background, overall health and other standards set by the Air Force, Department of Defense and federal law.Minimum EducationBachelor’s degree in engineering related to one of the following specialties: aerospace, aeronautical, astronautical, computer, electrical, electronics, communication or mechanicalQualificationsCompletion of the Defence Acquisition UniversityFundamentals of SystemsAcquisition Management course or Acquisition Fundamentals courseCompletion of the Air Force Flight Test Engineer course or comparableMinimum of 24 months of experience in qualified position or a master’s degree in a specified discipline and 12 months’ experience or a Doctor of Philosophy degree in a specified disciplineCompletionof Officer Training School (OTS), AirForce Academy (AFA) or AirForce Reserve Officer Training Corps (AFROTC)Must be between the ages of 18 and have not reached your 42ndbirthday
Full Time
10/5/2024
San Leandro, CA 94579
(17.2 miles)
Our employees are the most important part of our business. Thank you for your interest in applying to new opportunities with us.Build your best future with theJohnson ControlsteamAs a global leader in smart, healthy and sustainable buildings, our mission is to reimagine the performance of buildings to serve people, places and the planet. Join a winning team that enables you to build your best future! Our teams are uniquely positioned to support a multitude of industries across the globe. You will have the opportunity to develop yourself through meaningful work projects and learning opportunities. We strive to provide our employees with an experience, focused on supporting their physical, financial, and emotional wellbeing. Become a member of theJohnson Controlsfamily and thrive in an empowering company culture where your voice and ideas will be heard – your next great opportunity is just a few clicks away!What we offerCompetitive salary and bonus planPaid vacation/holidays–15 days of vacation first year plus Sick-TimeComprehensive benefits package including 401K, medical, dental, and vision care –Available day oneExtensive product and on the job/cross training opportunitiesWith outstanding resourcesEncouraging and collaborative team environmentDedication to safety through our Zero Harm policyCheck us Out:A Day in a Life atJohnson ControlsWhat you will doThis position will fill a role in the Western Region of the United States, specifically working as the Lead Engineer on major projects and will require travel, up to 50%. Under general direction, responsible for the design, configuration, and operation of complete building control systems including fire, security, and other low voltage control sub-systems (i.e. lighting, nurse call, data networks, etc.) to meet the intent of the project requirements. Effectively communicate with the Project Manager to develop a strong team. Accountable to field teams for quality, timeliness and efficiency of designs. Develops sophisticated software programs, commissions and solves problems to ensure proper operations of the building control system. Provides detailed information and submittals to communicate design and operation to customers, consultants,Johnson Controlsfield installation team and subcontractors.How you will do itDesigns and configures technically sophisticated building control systems as defined by the contract documents. Builds flow diagrams, sequence of operations, bill of material, network layouts and electrical schematics as required.Develops and tests software programs vital to operate the system per the intent of the project requirements.Coordinates the creation of vital drawings and equipment schedules for submittals and installation.Selects, orders, and supervises the delivery of materials for assigned projects. Coordinates factory-mounting processes to meet factory and project schedule.Assists in the loading and commissioning of all system and network-level controllers as required. Assists in validation of complete system functionality and solves problems with subcontractors and other trades to ensure proper operation.Provides field change information to the project team for the creation of as-built drawings and software.Keeps management and JCI contractor or customer advised of job progress and issues.Assists in performing site-specific training for owner/operator on the total building control system.Participates in release meeting with project field team. Performs value engineering.Provide cost effective results while maintaining customer satisfaction.Adheres to safety standards. High degree of regard to employee and subcontractor safety.What we look forRequiredMinimum of seven years of experience, or an associate degree in a related technical field with seven years of relevant work experience required.PreferredBachelor’s Degree in Engineering with a minimum of five years of experience, or an associate degree in a related technical field with five years of relevant work experience required.Proven knowledge of the construction or HVAC industry.Demonstrated knowledge of control theory, automatic temperature controls, building automation systems and other building subsystems.Proven experience in the integration of low voltage building sub-systems using various industry protocols (i.e. LON, BacNet, etc.). Ability to relate technical knowledge to a non-technical audience.Proven advanced computer skills required, particularly computer-related drafting tools.HIRING SALARY RANGE: $100K-$120K (Salary to be determined by the education, experience, knowledge, skills, and abilities of the applicant, internal equity, and alignment with market data.) This role offers a competitive Bonus plan that will take into account individual, group, and corporate performance. This position includes a competitive benefits package. For details, please visit the Employee Benefits tab on our main careers page at https://www.johnsoncontrols.com/careers#TechHiring
Full Time
9/13/2024
San Francisco, CA 94199
(6.6 miles)
Year Up United is a one-year or less, intensive job training program that provides young adults with in-classroom skill development, access to internships and/or job placement services, and personalized coaching and mentorship. Year Up United participants also receive an educational stipend. The program combines technical and professional training with access to internships and job placement support through our industry-leading talent placement firm YUPRO Placement. If you receive an internship, it may be at Salesforce, Workday, or PayPal among other leading organizations in the California Bay Area (Pleasant Hill, San Francisco, San Jose). Are you eligible You can apply to Year Up United if you are: - 18-29 years old - A high school graduate or GED recipient - Eligible to work in the U. S. - Available Monday-Friday throughout the duration of the program - Highly motivated to learn technical and professional skills - Have not obtained a Bachelor's degree What will you gain Professional business and communication skills, interviewing and networking skills, resume building, ongoing support and guidance to help you launch your career. Some coursework is eligible for college credit. During the internship phase, Year Up United students earn an educational stipend of $525 per week. In-depth classes include: - Application Development & Support - Banking & Customer Success - Helpdesk/Desktop Support - Project Management Support - Data Analytics Get the skills and opportunity you need to launch your professional career. 80% of Year Up United graduates are employed and/or enrolled in postsecondary education within 4 months of graduation.
Full Time
9/29/2024
East Palo Alto, CA 94303
(24.9 miles)
Does working on a cutting edge and fast growing serverless database excite you We are looking for self-driven, passionate, and experienced engineering leader to build next generation predictive heat management and placement solutions that will make Aurora database highly available, scalable, reliable and fast.This is a unique and rare opportunity to make an impact for a fast-growing AWS service and shape the future of the cutting-edge database technology, and of the cloud in general. In this role, you will have the opportunity to apply your deep understanding of distributed systems architecture and design principles and will be required to identify and analyze complex problems to develop elegant and efficient solutions to optimize performance and scalability.We are looking to innovate on behalf of our customers and bring them the best experience possible while delivering high availability, performance and scalability. This is a huge impact role to redefine how we predict customers (Database developers) ever changing needs and create learning mechanism that would feed into our heat and placement algorithms. You would help lead and solve for lowering costs for customer and help bring in great stability and durability of our services.Amazon Aurora: Imagine a database where you don't have to worry about the configuration or capacity of your database. Where you don't have to worry about what kind of load that your product or service will put on it and you only pay for what you use. Want to learn more Then read on.Aurora Limitless Database makes it easy for you to scale your relational database workloads by providing a serverless endpoint that automatically distributes data and queries across multiple Amazon Aurora Serverless instances while maintaining the transactional consistency of a single database. Aurora Limitless Database offers capabilities such as distributed query planning and transaction management, eliminating the need for you to create custom solutions or manage multiple databases to scale. It enables you to run your database in the cloud without managing any database instances. With Amazon Aurora Limitless we aim to do nothing less than revolutionize the database business. Aurora Limitless builds on top of foundational AWS services and we are breaking new ground in the way that customers experience databases.To learn more about Amazon Aurora Limitless visit: https://aws.amazon.com/about-aws/whats-new/2023/11/amazon-aurora-limitless-database/AWS Utility Computing (UC) provides product innovations from foundational services such as Amazon’s Simple Storage Service (S3) and Amazon Elastic Compute Cloud (EC2), to consistently released new product innovations that continue to set AWS’s services and features apart in the industry. As a member of the UC organization, you’ll support the development and management of Compute, Database, Storage, Internet of Things (Iot), Platform, and Productivity Apps services in AWS, including support for customers who require specialized security solutions for their cloud services.10016Key job responsibilitiesDesign and build next generation Database for Aurora Limitless. Mentor junior engineers and collaborate with engineers from other teamsOur engineers collaborate across diverse teams, projects, and environments to have a firsthand impact on our global customer base. You’ll bring a passion for innovation, data, search, analytics, and distributed systems. You’ll also:Solve challenging technical problems, often ones not solved before, at every layer of the stack.Design, implement, test, deploy and maintain innovative software solutions to transform service performance, durability, cost, and security.Build high-quality, highly available, always-on products.Research implementations that deliver the best possible experiences for customers.A day in the lifeAs you design and code solutions to help our team drive efficiencies in software architecture, you’ll create metrics, implement automation and other improvements, and resolve the root cause of software defects. You’ll also:Build high-impact solutions to deliver to our large customer base.Participate in design discussions, code review, and communicate with internal and external stakeholders.Work cross-functionally to help drive business decisions with your technical input.Work in a startup-like development environment, where you’re always working on the most important stuff.About the teamAurora is one of the fastest growing teams within AWS. In Aurora Limitless Control Plan team, you would have an opportunity to work with one of the smartest minds in the industry and help innovate on behalf of the customers.Our team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects that help our team members develop your engineering expertise so you feel empowered to take on more complex tasks in the future.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.About AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud.Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.BASIC QUALIFICATIONS- 5+ years of non-internship professional software development experience- 5+ years of programming with at least one software programming language experience- 5+ years of leading design or architecture (design patterns, reliability and scaling) of new and existing systems experience- Experience as a mentor, tech lead or leading an engineering teamPREFERRED QUALIFICATIONS- Bachelor's degree in computer science or equivalent- 5+ years of full software development life cycle, including coding standards, code reviews, source control management, build processes, testing, and operations experience- 3+ years experience with building scalable distributed systems using AWS or other cloud technologies- Strong in computer science fundamentals like data structures, algorithm design and complexity analysis- Experience building highly available, low latency and highly scalable services- Collaborate with engineers and leaders from other teams.- Experience with PostgreSQL or other RDBMS.Amazon is committed to a diverse and inclusive workplace. Amazon is an equal opportunity employer and does not discriminate on the basis of race, national origin, gender, gender identity, sexual orientation, protected veteran status, disability, age, or other legally protected status. For individuals with disabilities who would like to request an accommodation, please visit https://www.amazon.jobs/en/disability/us.Los Angeles County applicants: Job duties for this position include: work safely and cooperatively with other employees, supervisors, and staff; adhere to standards of excellence despite stressful conditions; communicate effectively and respectfully with employees, supervisors, and staff to ensure exceptional customer service; and follow all federal, state, and local laws and Company policies. Criminal history may have a direct, adverse, and negative relationship with some of the material job duties of this position. These include the duties and responsibilities listed above, as well as the abilities to adhere to company policies, exercise sound judgment, effectively manage stress and work safely and respectfully with others, exhibit trustworthiness and professionalism, and safeguard business operations and the Company’s reputation. Pursuant to the Los Angeles County Fair Chance Ordinance, we will consider for employment qualified applicants with arrest and conviction records.Our compensation reflects the cost of labor across several US geographic markets. The base pay for this position ranges from $151,300/year in our lowest geographic market up to $261,500/year in our highest geographic market. Pay is based on a number of factors including market location and may vary depending on job-related knowledge, skills, and experience. Amazon is a total compensation company. Dependent on the position offered, equity, sign-on payments, and other forms of compensation may be provided as part of a total compensation package, in addition to a full range of medical, financial, and/or other benefits. For more information, please visit https://www.aboutamazon.com/workplace/employee-benefits. This position will remain posted until filled. Applicants should apply via our internal or external career site.
Full Time
10/1/2024
Sunnyvale, CA 94087
(33.3 miles)
In our ‘always on’ world, we believe it’s essential to have a genuine connection with the work you do.RUCKUS Networks Leads The Wi-Fi 7 Revolution With The R770 Access Point.Wefocus on delivering cutting-edge solutions to create a smarter, simpler, more connected world. At the heart of global connectivity are the engineers who write innovative software for our award-winning routing and switching products to bring the information quickly and reliably where needed. We are a pioneer in the wireless infrastructure market, enabling carriers and enterprises to stay ahead of the exploding demand for high-bandwidth applications and services Our RUCKUS Smart Wi-Fi, LTE, and Switching technology redefines what’s possible in wireless network performance with flexibility, reliability, and affordability.Ruckus delivers innovative solutions to the most critical issues facing today’s wireless networks: how to create affordable reliability and how to manage exponential growth. It's what we do. It's in our DNA.We are looking for an experienced engineer with high-speed hardware design expertise to join our team!How You'll help us connect the world:As a member of the Ruckus Wireless engineering team, you will be responsible for system- and board-level design of RF subcircuits based on various wireless industry standards. You will work closely with the broader Engineering team, consisting of Electrical, Mechanical and Software Engineers.Responsibilities:Design, bring-up Wi-Fi AP products and accessories.Debug and validate Wi-fi AP designs.Investigate, present, and evaluate new hardware solutions and/or PoC.Review and evaluate designs of outsourced projects.Work with RF team and Antenna team to integrate designs and validate designs together.Generate necessary documents for all categories listed above, such as PoC, PRD, HRD, HWFS, BOM, test plan, FA reportSupport compliance testing.Sustain legacy products.Required qualifications:Minimum BSEE with 5+ years of relevant experience in high-speed digital hardware design.Must have experience in all aspects of hardware design including schematics capture, PCB layout constraint, DFM implementation, bring-up and design validations.Must have experience in common interfaces, PCIe, 10GE, DDR4, SPI, I2C, USB, UART...Excellent knowledge of SI and PI for high-speed designs.Excellent knowledge of DC/DC switching power supply including PoE PSE and PD.Strong proficiency in OrCAD, Allegro, Arena, Ms office.Familiarity with design for compliance/regulatory testing.Familiarity with lab equipment, logic analyzer, oscilloscope, Sifos PoE tester, thermal chamberGood communication skills and be able to work with all cross-function teams. Ability to proactively lead the whole design from scratch to product.You'll excite us if you have:Basic knowledge of RF and antenna.Familiarity with main Wi-Fi SOC in the industry, like Qualcomm or Broadcom, is a big plus.Ability to manage the 3rd party for souring components, design and/or design reviews.Experience in ODM, JDM design and contractor manufacture.#LI-RB1THIS IS HYBRID OPPORTUNITY. LOCAL CANDIDATES ONLY AND NO THIRD PARTY AGENCIES PLEASE.Our salary ranges consider various factors, including but not limited to benchmarking by independent third-party consultants, skills, years of experience, training, education, geography, and other business needs. Depending on experience, the range can be higher for candidates with outstanding experience and a demonstrated history of successful performance. This position's expected total compensation (base salary and commission range) is $135,000.00 -$190,000.00What happens after you apply Learn how to prepare yourself for the next steps in our hiring process by visiting https://careers.commscope.com/how-we-hire.Why CommScope CommScope is on a quest to deliver connectivity that empowers how we live, work, and learn.Our employees push the boundaries of communications technology that enables game-changing discoveries like 5G, the Internet of Things, and gigabit speeds for everyone, everywhere.With our unmatched expertise in copper, fiber, and wireless infrastructure, our global clients rely on us to outperform today and be ready for the needs of tomorrow. If you want to grow your career alongside bright, passionate, and caring people who strive to create what's next..come connect to your future at CommScope. CommScope is an Equal Opportunity Employer (EEO), including people with disabilities and veterans. If you are seeking an accommodation for the application or interview process, please contact us to submit your request at . You can also learn more about CommScope’s accommodation process and EEO policy athttps://jobs.commscope.com/eeo
Full Time
10/6/2024
Cupertino, CA 95014
(34.5 miles)
At AWS AI our vision is to make deep learning pervasive for everyday developers and to democratize access to cutting edge infrastructure. In order to deliver on that vision, we’ve created innovative software and hardware solutions that make it possible.AWS Neuron SDK is the complete software stack for the AWS Inferentia and Trainium machine learning accelerators designed by Annapurna Labs inside AWS. The Neuron SDK consists of a compiler, runtime, frameworks, and tooling customers need. It’s also preinstalled in AWS Deep Learning AMIs and Deep Learning Containers for customers to quickly get started with running high performance and cost-effective inference and training.The Neuron team is hiring senior Runtime Software Development Engineers with a background in machine learning and AI accelerators in order to solve our customers toughest problems. As a Runtime Software Development Engineer you will have experience with high-performance Linux drivers, HPC technologies including: libfabric, MPI, and delivering products to customers with a high degree of operational excellence.This is a fast-paced, intellectually challenging position, where you’ll work with thought-leaders in multiple technology areas. You’ll have high standards for yourself and everyone you work with, and you’ll be constantly looking for ways to improve our products' performance, quality and cost.We’re changing an industry, and we want individuals who are ready for this challenge and want to reach beyond what is possible today.Utility Computing (UC)AWS Utility Computing (UC) provides product innovations from foundational services such as Amazon’s Simple Storage Service (S3) and Amazon Elastic Compute Cloud (EC2), to consistently released new product innovations that continue to set AWS’s services and features apart in the industry. As a member of the UC organization, you’ll support the development and management of Compute, Database, Storage, Internet of Things (Iot), Platform, and Productivity Apps services in AWS, including support for customers who require specialized security solutions for customers who require specialized security solutions for their cloud services.About the teamAbout AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying. Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud. Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional. BASIC QUALIFICATIONS- 5+ years of non-internship professional software development experience- 5+ years of programming with at least one software programming language experience- 5+ years of leading design or architecture (design patterns, reliability and scaling) of new and existing systems experience- 5+ years of full software development life cycle, including coding standards, code reviews, source control management, build processes, testing, and operations experience- Experience as a mentor, tech lead or leading an engineering teamPREFERRED QUALIFICATIONS- Bachelor's degree in computer science or equivalentAmazon is committed to a diverse and inclusive workplace. Amazon is an equal opportunity employer and does not discriminate on the basis of race, national origin, gender, gender identity, sexual orientation, protected veteran status, disability, age, or other legally protected status. For individuals with disabilities who would like to request an accommodation, please visit https://www.amazon.jobs/en/disability/us.Los Angeles County applicants: Job duties for this position include: work safely and cooperatively with other employees, supervisors, and staff; adhere to standards of excellence despite stressful conditions; communicate effectively and respectfully with employees, supervisors, and staff to ensure exceptional customer service; and follow all federal, state, and local laws and Company policies. Criminal history may have a direct, adverse, and negative relationship with some of the material job duties of this position. These include the duties and responsibilities listed above, as well as the abilities to adhere to company policies, exercise sound judgment, effectively manage stress and work safely and respectfully with others, exhibit trustworthiness and professionalism, and safeguard business operations and the Company’s reputation. Pursuant to the Los Angeles County Fair Chance Ordinance, we will consider for employment qualified applicants with arrest and conviction records.Our compensation reflects the cost of labor across several US geographic markets. The base pay for this position ranges from $151,300/year in our lowest geographic market up to $261,500/year in our highest geographic market. Pay is based on a number of factors including market location and may vary depending on job-related knowledge, skills, and experience. Amazon is a total compensation company. Dependent on the position offered, equity, sign-on payments, and other forms of compensation may be provided as part of a total compensation package, in addition to a full range of medical, financial, and/or other benefits. For more information, please visit https://www.aboutamazon.com/workplace/employee-benefits. This position will remain posted until filled. Applicants should apply via our internal or external career site.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
We're now looking for a Senior Deep Learning Software Engineer for our cuDNN team!Do you love writing fast code and crafting software systems to solve complex problems We are looking for hardworking software engineers to help design, build, and ship cuDNN: our GPU-accelerated library of primitives for deep neural networks. Intelligent machines powered by AI computers that can learn, reason, and interact with people are no longer science fiction. This is truly an extraordinary time. The era of AI has begun, and we are powering it. If this role seems like a good match for your skills and interests, tell us why you think you might be a great fit for our team, and we'd love to tell you more about what we do!What you’ll be doing:Develop production-quality software that ships as part of NVIDIA's AI software stack, including cutting edge large language model support.Analyze the performance of important workloads, tuning our current software, and proposing improvements for future software.Work with cross-collaborative teams of deep learning software engineers and GPU architects to innovate across applications like large language models, autonomous driving, computer vision, and recommender systems.Adapt to the constantly evolving AI industry by being agile and excited to contribute across the codebase, including API design, software architecture, testing, and GPU kernel development.Mentoring junior engineers on the team.What we need to see:M.S. Degree in Computer Science, Electrical Engineering (or similar), or equivalent experience.4+ years of relevant work or research experience.Strong programming skills in C/C++ development, work experience with CUDA development, and familiarity with Python.Good understanding of linear algebra.Familiarity with the latest trends in machine learning, especially in large language models.Experience designing high level software architecture.Excellent problem solving skills, including applications of algorithms and data structures.Experience with performance analysis, profiling, and code optimization.Ability to work independently, define project goals and scope, and lead your own development effort.Ways to stand out from the crowd:GPU programming and optimization expertise (e.g. CUDA or OpenCL).Practical experience with machine learning, especially deep learning.Strong Experience with data science, statistical analysis, and visualization.Previous work on large complex codebases with many other developers, especially libraries, compilers, or system software.Track record of identifying new technologies and incorporating them into software development flows.The base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/25/2024
Mountain View, CA 94043
(29.2 miles)
What this job involves - The Sr. Operating Engineer will perform and/or direct various preventative maintenance and repairs within a given geographic territory. This will include hands-on work to complete the necessary work within JLL standards and guidelines. This role will perform and assist in identification and implementation of OM&F strategies to ensure safe, reliable, and efficient Buildings, Building Systems, and equipment within a geographic territory.What is your day to day Comprehensive knowledge of Safe Work practices especially regarding energized work, working at heights and confined spaces.Ability to recognize, assess and mitigate or eliminate risk.Ability to understand and use proper PPE for associated tasks.Evaluate, train, teach and coach a work force of Engineers and Maintenance Technicians as requested by supervisors.Possess hands-on skills and knowledge to complete required repairs and maintenance on commercial buildings and building systems (with an emphasis on HVAC and associated MEP systems) using industry standard tools and in accordance with all codes, laws, and regulations.Perform preventative maintenance and repair service work on HVAC, mechanical, plumbing, electrical, and various other building systems to maintain the properties in peak operational conditionsRequired to bend, sit, kneel, squat, stand, reach, and lay as required to access equipment components for extended periods of time; lift up to 50 lbs.May be required to work exposed to heat, sunlight, rain, cold, daylight and night-time hoursDesired experience and technical skillsRequired3-5 years of Skilled Trades experienceEPA 608 Universal CertificationAbility to analyze the operation of various Commercial or Industrial Mechanical, Electrical, Plumbing and HVAC systems, determine the cause of any problems/malfunctions and take corrective actionAbility to effectively us computers and computer programs; including Excel, Word, OutlookHigh School diploma or GED equivalentPreferredPossess excellent communications skills both written and verbal.Two years of trades schooling in electrical system design, refrigeration and HVAC#HVACjobs #OEjobs
Full Time
9/9/2024
Oakland, CA 94616
(13.5 miles)
Your Role The Data Services Quality Engineering team is part of the BSC Data & Analytics Organization. We provide testing services for Data & Analytics projects that involve data within the enterprise from the various sources through intake layers into down downstream data marts. The Quality Enginer, Senior will report to the IT Manager. In this role you will be working with Data & Analytics, Data Engineering, Data Analysis, Application, and Business teams. Your Work In this role, you will: Develop test scenarios and underlying test scripts (Functional and SIT) based on provided requirements/design documentation and business inputAttend requirement sessions and evaluates requirements for testabilityCreate traceability from development hand off through testingParticipate in design sessions to understand implications of design to testingProvide test estimates for large initiatives as requested under the guidance of testing leadershipReview Business Requirement Document (BRD), Technical Design Document (TDD), Data Model, Source-to-target mapping document (STTM)Prepare Test Plans, Test Scenarios, Test Data, Test Cases, Test Scripts based on BRD, TDD, STTMReview test artifacts with business users and technical teamMaintain test cases in test management system and track defects in JIRAConduct daily defect calls with IT PM, Development team and Business teamProvide test reports/status to Test Lead, IT PM and discuss roadblocks if necessaryPerform root-cause analysis for the defects/issues and provide solution if requiredFollow best practices and maintain quality assurance standardsWork closely with cross-functional counterparts in business, development, release and project managementHave proficiency working in Agile methodologyProvide overall technical leadership across multiple projects simultaneouslyHave thorough understanding of automation frameworks and ability to design, develop and maintain automation frameworkExecute and maintain test scripts in the automation framework for regression and smoke testing of various BSC applicationsHave the ability at applying advanced professional principles and concepts to complex work assignmentsParticipate and present in automation code reviewsAssess suitability of manual test cases for automation Your Knowledge and Experience Requires a bachelor's degree, preferably in Computer Science, or equivalent experience and minimum 5 years of prior relevant experience5 years of Quality Engineering experience, with 4 years of experience in Data Warehousing preferredRequires at least 1 year of experience in Cloud Data Platform Testing with Azure Data Lake Storage (ADLS) Gen2 and data pipeline development using DBT Cloud/Core tool on Snowflake DBKnowledge of ETL processes, Relational Database Design Methods (Snowflake/Star Schema), Slowly Changing Dimensions etc.Ability to analyze error logs in UNIX, update shell scripts, and create SQL scriptsAbility to perform automated regression testing in all non-production environmentsExcellent written and oral communication skillsHealthcare domain experience is preferable but not requiredPreferred experience working on at least one large data warehouse project/initiativeHands on experience in using Informatica or similar ETL toolAnalyzing and writing testing cases based on ETL specifications/ Source-to-Target Mapping documentsKnowledge of data & analytics in cloudDemonstrate a mindset of continuous improvement in process/technology etc.Experience with coding in python or any other object-oriented programming language is preferred Pay Range: The pay range for this role is: $ 99000.00 to $ 148500.00 for California. Note: Please note that this range represents the pay range for this and many other positions at Blue Shield that fall into this pay grade. Blue Shield salaries are based on a variety of factors, including the candidate's experience, location (California, Bay area, or outside California), and current employee salaries for similar roles. #LI-CM1
Full Time
10/4/2024
Livermore, CA 94551
(29.9 miles)
Build your best future with the Johnson Controls TeamAs a global leader in smart, healthy and sustainable buildings, our mission is to reimagine the performance of buildings to serve people, places and the planet. Join a winning team that enables you to build your best future! Become a member of the Johnson Controls family and thrive in an empowering company culture where your voice and ideas will be heard – your next great opportunity is just a few clicks away! What we offerCompetitive salary starting at $56k base plus guaranteed commission and sign-on bonus with total compensation of $83kPaid vacation/holidays/sick time – 15 days of vacation, 5 sick days, 3 floating holidaysComprehensive benefits package including but not limited to; 401k, Medical, Dental and Vision care – Available day oneEncouraging and collaborative team environmentCheck us Out: A Day in a Life at Johnson Controls | Sales Roles - YouTubeWhat you will doOur Early CareerSales Engineering (BEST) Program is a 6-month technical sales development program for Recent College Graduates that focuses on creating market share by strategic selling Johnson Controls’ HVAC products and services to commercial customers. In this program you will have a chance to apply your technical engineering knowledge and business acumen to work with customers to better understand their product and project needs by being the subject matter expert. As part of the program, you will participate in a 6-month training and development program combining classroom, field orientation, and on the job training. You will learn our mechanical equipment and service offerings, customer relationship techniques and leadership skills in preparation for supporting customers in your territory alongside the sales team. You will be prepared to consult with architects, engineers, and building owners on product selections; partner with and learn from internal experts and deliver on business goals. You will be a critical part of a local sales team and a national network of sales professionals. #BEST How you will do itEstimate job bids and prepare proposals with contractors, architects and building owners Coordinate with vendors and subcontractors Complete sales calls to generate new business and build on existing relationships Present solutions to customers for consideration Collect and analyze market intelligence Turn projects over to operations team for execution and installationsWhat we look forRequired:Currently pursuing a Bachelor’s degree in a technical field (engineering, construction management, IT etc.) and graduating in December 2024 and May/June 2025. Program starts January 6, 2025 or June 9, 2025Strong analytical ability to solve problems and ability to learn quickly Ability to manage multiple projects and cross-functional teams with minimum guidance Strong communication and teamwork skills U.S. Citizenship or Permanent ResidencyPreferred:Electrical or Mechanical Engineering Degree, strongly preferred Previous sales, engineering or business experiences through co-ops, internships, part-time or full-time jobs
Full Time
9/15/2024
East Palo Alto, CA 94303
(24.9 miles)
Are you interested in building hyper-scale database services in the cloud Do you want to revolutionize the way databases are built for the cloud Do you want to have direct and immediate impact on hundreds of thousands of users who use AWS database services Amazon Aurora is a MySQL-compatible, relational database engine that combines the speed and availability of high-end commercial databases with the simplicity and cost-effectiveness of open source databases. It provides up to five times better performance than MySQL at a price point one tenth that of a commercial database while delivering similar performance and availability.We are looking for passionate engineers to help us deliver industry leading next generation database services for the cloud. You will be part of the team that architects, designs, and implements highly scalable distributed database systems that provide availability, reliability and performance guarantees. This is a hands on position where you will have the opportunity to do everything from building highly distributes and scalable components to mentoring other engineers.If you are interested in getting in on the ground floor within a fast growing business and help shape the technology, product and the business, we would love to hear from you.Inclusive Team CultureHere at AWS, we embrace our differences. We are committed to furthering our culture of inclusion. We have ten employee-led affinity groups, reaching 40,000 employees in over 190 chapters globally. We have innovative benefit offerings, and host annual and ongoing learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences. Amazon’s culture of inclusion is reinforced within our 14 Leadership Principles, which remind team members to seek diverse perspectives, learn and be curious, and earn trust.Work/Life Balance infoOur team puts a high value on work-live balance. It isn’t about how many hours you spend at home or at work; it’s about the flow you establish that brings energy to both parts of your life. We believe striking the right balance between your personal and professional life is critical to life-long happiness and fulfillment. We offer flexibility in working hours and encourage you to find your own balance between your work and personal lives. This position involves on-call responsibilities, typically three days a month, and also includes solving problems reported by customers. We don’t like getting paged in the middle of the night or on the weekend, so we work to ensure that our systems are fault tolerant. When we do get paged, we work together to resolve the root cause so that we don’t get paged for the same issue twice.Mentorship opportunitiesOur team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects based on what will help each team member develop into a better-rounded engineer and enable them to take on more complex tasks.AWS Utility Computing (UC) provides product innovations from foundational services such as Amazon’s Simple Storage Service (S3) and Amazon Elastic Compute Cloud (EC2), to consistently released new product innovations that continue to set AWS’s services and features apart in the industry. As a member of the UC organization, you’ll support the development and management of Compute, Database, Storage, Internet of Things (Iot), Platform, and Productivity Apps services in AWS, including support for customers who require specialized security solutions for their cloud services10016Key job responsibilitiesOur engineers collaborate across diverse teams, projects, and environments to have a firsthand impact on our global customer base. You’ll bring a passion for innovation, data, search, analytics, and distributed systems. You’ll also:Solve challenging technical problems, often ones not solved before, at every layer of the stack.Design, implement, test, deploy and maintain innovative software solutions to transform service performance, durability, cost, and security.Build high-quality, highly available, always-on products.Research implementations that deliver the best possible experiences for customers.A day in the lifeAs you design and code solutions to help our team drive efficiencies in software architecture, you’ll create metrics, implement automation and other improvements, and resolve the root cause of software defects. You’ll also:Build high-impact solutions to deliver to our large customer base.Participate in design discussions, code review, and communicate with internal and external stakeholders.Work cross-functionally to help drive business decisions with your technical input.Work in a startup-like development environment, where you’re always working on the most important stuff.About the teamOur team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects that help our team members develop your engineering expertise so you feel empowered to take on more complex tasks in the future.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.About AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud.Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.Hybrid WorkWe value innovation and recognize this sometimes requires uninterrupted time to focus on a build. We also value in-person collaboration and time spent face-to-face. Our team affords employees options to work in the office every day or in a flexible, hybrid work model near one of our US Amazon offices. Our hybrid models allow you the freedom to work from home whenever in-office collaboration isn’t necessary.BASIC QUALIFICATIONS- 5+ years of non-internship professional software development experience- 5+ years of programming with at least one software programming language experience- 5+ years of leading design or architecture (design patterns, reliability and scaling) of new and existing systems experience- Experience as a mentor, tech lead or leading an engineering teamPREFERRED QUALIFICATIONS- 5+ years of full software development life cycle, including coding standards, code reviews, source control management, build processes, testing, and operations experience- Bachelor's degree in computer science or equivalentAmazon is committed to a diverse and inclusive workplace. Amazon is an equal opportunity employer and does not discriminate on the basis of race, national origin, gender, gender identity, sexual orientation, protected veteran status, disability, age, or other legally protected status. For individuals with disabilities who would like to request an accommodation, please visit https://www.amazon.jobs/en/disability/us.Los Angeles County applicants: Job duties for this position include: work safely and cooperatively with other employees, supervisors, and staff; adhere to standards of excellence despite stressful conditions; communicate effectively and respectfully with employees, supervisors, and staff to ensure exceptional customer service; and follow all federal, state, and local laws and Company policies. Criminal history may have a direct, adverse, and negative relationship with some of the material job duties of this position. These include the duties and responsibilities listed above, as well as the abilities to adhere to company policies, exercise sound judgment, effectively manage stress and work safely and respectfully with others, exhibit trustworthiness and professionalism, and safeguard business operations and the Company’s reputation. Pursuant to the Los Angeles County Fair Chance Ordinance, we will consider for employment qualified applicants with arrest and conviction records.Our compensation reflects the cost of labor across several US geographic markets. The base pay for this position ranges from $151,300/year in our lowest geographic market up to $261,500/year in our highest geographic market. Pay is based on a number of factors including market location and may vary depending on job-related knowledge, skills, and experience. Amazon is a total compensation company. Dependent on the position offered, equity, sign-on payments, and other forms of compensation may be provided as part of a total compensation package, in addition to a full range of medical, financial, and/or other benefits. For more information, please visit https://www.aboutamazon.com/workplace/employee-benefits. This position will remain posted until filled. Applicants should apply via our internal or external career site.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA has been transforming computer graphics, PC gaming, and accelerated computing for more than 25 years. It’s a unique legacy of innovation that’s fueled by great technologyand amazing people. Today, we’re tapping into the unlimited potential of AI to define the next era of computing. An era in which our GPU acts as the brains of computers, robots, and self-driving cars that can understand the world. Doing what’s never been done before takes vision, innovation, and the world’s best talent.As an NVIDIAN, you’ll be immersed in a diverse, supportive environment where everyone is inspired to do their best work. Come join the team and see how you can make a lasting impact on the world. You will be a part of NVIDIA GeForce NOW cloud team that allows users to play high-quality PC games on various devices, without the need for a dedicated gaming PC or console. NVIDIA's GeForce NOW service is built on top of our GPU technology, including our proprietary GPU architectures and software optimizations allowing efficient and high-quality gaming experience even at high resolutions.What you'll be doing:As an engineer on the GeForce NOW team, you will have the unique opportunity to contribute to the definition of the next generation cloud platform. You will be at the forefront of transforming the world of computer graphics and accelerated computing by harnessing the unlimited potential of AI. What you'll be doing:Contribute to the architecture of next-generation NVIDIA GeForce NOW cloud platform by providing input on performance, power, and cost considerations.Perform comprehensive performance and power analysis of various microarchitecture features in current and future generation GPUs and CPUs.Characterize and optimize the performance of hot kernels ensuring efficient execution and exceptional user experience.Design and build models and tools for analyzing workload sensitivity, assisting in identifying the best configurations for our technologies.Present findings and recommendations to multi-functional teams, including product managers, engineers, and executives.Continuously learn and develop skills in performance analysis, optimizations, platform architecture and cloud computing.Stay up to date with the latest advancements inCPU, GPU,DRAMand storage technologies and provide recommendations for future improvements.Collaborate with a team of highly skilled engineers, architects, and researchers, working together to successfully implement world-class solutions.Your work will directly impact the development of sophisticated computing systems and redefine the limits of what is possible.What we need to see:Masters, PhD, or equivalent experience in relevant fields (Computer Engineering, Computer Science, Electrical Engineering, AI).5-8 years of experience inCPUor GPU performance, working on microarchitecture bottleneck analysis.Demonstrated expertise in conducting hardware power and performance analysis with an understanding of microarchitecture design trade-offs.Experience in characterizing and optimizing software performance on cloud platforms.Strong understanding of performance analysis methodologies, including code instrumentation, sampling, and roofline analysis.Proficiency in developing performance models and software tools for workload sensitivity analysis.Comfortable programming in C, C++, python, and scripting languages, with a strong foundation in software development.Demonstrated problem-solving skills with a desire to explore new areas, identify gaps, and think creatively to develop solutions.Ability to analyze complex data, draw insightful conclusions, and form hypotheses to explain findings.Strong presentation skills, with the ability to communicate complex ideas and data concisely to various audiences.With a competitive salary package and benefits, NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. Are you a creative and autonomous Platform Architecture Engineer, who loves challenges Do you have a genuine passion for advancing the state of Gaming Platforms across a variety of industries If so, we want to hear from you. Come join us in these exciting times and make a sizable difference in the exploding world of Gaming! Doing what’s never been done before takes vision, innovation, and the world’s best talent.The base salary range is 148,000 USD - 276,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
10/3/2024
Mountain View, CA 94043
(29.2 miles)
What this job involves –The Lead Operating Engineer will perform and direct various preventative maintenance and repairs and has the overall responsibility for engineering and maintenance operations to ensure that a safe, efficient, and cost-effective delivery of services is provided to the client and building occupants. This will include hands-on work to complete the necessary work within JLL standards and guidelines. This role will perform and assist in identification and implementation of OM&F strategies to ensure safe, reliable, and efficient Buildings, Building Systems, and equipment within a geographic territory.What is your day to day Evaluate, train, teach and coach a work force of Engineers and Maintenance Technicians as requested by supervisors.Possess hands-on skills and knowledge to complete required repairs and maintenance on commercial buildings and building systems (including, but not limited to: HVAC, Electrical, Plumbing) Using industry standard tools and in accordance with all codes, laws, and regulations.Ensure that compliance of all regulatory laws and guidelines are met as they relate to the operation of any of the building’s infrastructure.Maintain staffing schedules, timekeeping and employment records for responsible areas in connection with planning, scheduling and execution of engineering/maintenance work.Maintain liaison with Lead Engineers regarding work orders, job completion dates Five (5) years of technical and supervisory experience in building engineering with a strong background in technical aspects of packaged HVAC equipment repair, maintenance and overall system design and application. Comprehensive knowledge of Safe Work practices especially regarding energized work, working at heights and confined spaces.Ability to recognize, assess and mitigate or eliminate risk.Ability to understand and use proper PPE for associated tasks.Must have an excellent working knowledge of computer-based applications and programs, to include Excel and Word.Required to bend, sit, kneel, squat, stand, reach, and lay as required to access equipment components for extended periods of time; lift up to 50 lbs.May be required to work exposed to heat, sunlight, rain, cold, daylight and night-time hoursRequiredExperience7 -10 years of Skilled Trades experienceExperience managing or overseeing a team/techniciansEPA 608 Universal CertificationAbility to analyze the operation of various Commercial or Industrial Mechanical, Electrical, Plumbing and HVAC systems, determine the cause of any problems/malfunctions and take corrective actionAbility to effectively us computers and computer programs; including Excel, Word, OutlookHigh School diploma or GED equivalentCandidate must possess a valid driver’s licencePreferredExperienceTwo years of trades schooling in electrical system design, refrigeration and HVACValid HVAC technician certification.Experience working in a laboratory, bio, or pharmaceutical environment.Experience with air filtration systems and environmental controls in controlled environments.#OEjobs #HVACjobs
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
We’re currently seeking a Senior Developer Technology Engineer, Artificial IntelligenceWould you enjoy researching parallel algorithms to accelerate AI workloads on advanced computer architectures Is it rewarding to investigate, find, and eliminate system bottlenecks to achieve the best possible performance of computer hardware Could you be thrilled about an opportunity to partner with the Developer community, working at the forefront of technology breakthroughs that contribute to the success of an industry leader like NVIDIA If so, the Developer Technology Team invites you to consider this role.What you will be doing:In this position, you will research and develop techniques to GPU accelerate workloads in deep learning, machine learning or other AI domains.Work directly with other technical experts in their fields (industry and academia) to perform in-depth analysis and optimization of complex AI and HPC algorithms to ensure the best possible AI solutions on modern CPU and GPU architectures.Publish and present discovered optimization techniques in developer blogs or relevant conferences to engage and educate the Developer community.Influence the design of next-generation hardware architectures, software, and programming models in collaboration with research, hardware, system software, libraries, and tools teams at NVIDIA.What we need to see:A Masters degree in Computer Science, Computer Engineering, or related computationally focused science degree (or additional equivalent experience).You have 8+ years of relevant work experience or research.Programming fluency in C/C++ with a deep understanding of algorithms and software development.A background that includes parallel programming, e.g., CUDA, OpenACC, OpenMP, MPI, pthreads, etc.Hands on experience doing low-level performance optimizations.In-depth expertise with CPU and GPU architecture fundamentals.Good communication and organization skills, with a logical approach to problem solving, and prioritization skills.Ways to stand out from the crowd:Expertise in parallelization and performance optimization of Deep Learning models arising from Natural Language Processing, Computer Vision, Recommender Systems, etc.Excellent understanding of linear algebra.NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. Are you a creative and autonomous computer scientist with a genuine passion for parallel computing If so, we want to hear from you. Come, join our AI Compute DevTech team and help build the real-time, cost-effective computing platform driving our success in this exciting and quickly growing field.The base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA is hiring a SOC/IP Methodology Engineer to help design and architect next generation custom SoC/IP solutions. We are looking for special individuals with passion and desire to deliver innovative products. Together, we will build the next generation of life changing SoC’s. If you are a motivated individual that understands how SoC systems are architected and built, has intimate knowledge of client requirements, and understand various development cycles, this is your place to be.What you will be doing:Responsible for developing and optimizing semi-custom design methodologies, work with internal and external collaborators and IP Vendors on SOC/IP requirements and drive technology alignments across them.You will be a hands-on domain professional, able to traverse from RTL to final design closure (timing and layout) involving latest EDA technologies and capabilities.Identify inefficiencies and improvement opportunities in the front-end chip implementation process and propose ideas to address themOwn front-end design quality checks and reviews to present the physical design team with high-quality RTLWhat we need to see:BS/MS in Computer or Electrical Engineering or equivalent experienceProven ability in chip design, with 5+ years of specializing in SOC integration and design automationExcellent analytical and problem-solving skillsExperience in RTL design (Verilog), verification (UVM, System Verilog), System-On-Chip design/integration flow, and design automationStrong coding skills in Perl, Python, or other industry-standard scripting languagesHands-on experience with physical design and analysis tools from EDA vendors such as Cadence, Synopsys, Mentor (CDC, LP Checks, Genus, First Encounter, Innovus, Design Compiler, Fusion Compiler) etc.Experience with integrating MBIST/DFT is a plusNVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most hard-working and dedicated people in the world working for us. If you're creative and passionate about developing cloud services we want to hear from you!The base salary range is 128,000 USD - 258,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA is hiring senior software engineers to build and optimize the tools Deep Learning engineers use across the world to design, develop, and deploy AI applications. We are an ambitious, forward-thinking and diverse team that influences all areas of NVIDIA's AI platform and directly contributes to premiere Deep Learning frameworks - PyTorch, JAX and TensorFlow. We work with multiple teams both inside and outside of NVIDIA across fields, as well as collaborate with the open-source community to optimize the best AI platform in the world!What you will be doing:Develop and optimize open-source libraries, like Transformer Engine, which enables the fastest training of Large Language Models using low precision data formats, and TensorFlow Distributed Embeddings, providing ability to easily scale training of huge recommender systems on multiple GPUs.Study and tune Deep Learning training workloads at large scale, including important enterprise models.Build and support NVIDIA submissions to community benchmarks like MLPerf.Optimize the performance of influential, modern Deep Learning models coming out of academic and industry research, for NVIDIA GPUs and systems.Explore new technologies and advise design of new hardware generations and core platform software components.What we need to see:BS in Computer Science, Electrical Engineering or a related field (or equivalent experience).Demonstrated ability with 6+ years of C++ and Python programming.Strong background with parallel programming, preferably on GPUs.Knowledge of Computer Architecture and/or Operating Systems.Proven experience developing large software projects.Excellent verbal and written communication skills.Ways to stand out from the crowd:Experience with Deep Learning Frameworks, like PyTorch, JAX, Tensorflow or MXNet.Experience training language models.Background with performance analysis and profiling of workloads.Participation in the open-source community.Proven experience working with multidisciplinary teams.The base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.#deeplearning
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA is a world-leader in high speed computer vision, artificial intelligence, and deep learning. Our team builds the accelerated software ecosystem that enables visual AI developers to innovate swiftly and efficiently at scale. Are you looking for a technical leadership role building high-performance distributed AI We are seeking an outstanding individual to help us build highly optimized microservice products and NVIDIA NIMs that bring visual AI to large scale data. The right candidate will combine insight into the latest computer vision and multi-modal AI techniques with exceptional technical breadth in distributed computing to build performant solutions and overarching architecture for problem domains such as video data curation, search, and neural reconstruction.What you'll be doing:Scope and build tools, microservices, workflows, and distributed applications that use groundbreaking computer vision models to help developers build better products faster and cheaper.Contribute to specifications guiding computer vision microservice development across NVIDIA.Design and implement product features for streaming, resilience, logging, security, authentication, workflow orchestration, data management, and more.Develop and optimize commercializable models starting from our latest research.Analyze and optimize complex distributed applications to achieve speed-of-light performance using acceleration from CV-CUDA, RiverMax, SwiftStack, CuVI, RAPIDS, and more!What we need to see:Master's of Science in Computer Science, Electrical engineering, or Physics or equivalent experience8+ years of practical experience.Excellent architectural sense and can-do approach to building high-performance software at scale. Up to date on key technologies such as Kubernetes, Helm, HIVE, Parquet, Milvus, ELK.Background in AI development including proficiency with Python and one or more common deep learning frameworks such as PyTorch, OnnxRT, or JAX. Computer vision experience preferred.Teamwork, listening, and teaching skills to work effectively with system software and AI experts to build end-to-end accelerated products with unique and sophisticated capabilities.Ability and desire to learn new technologiesWays to Stand Out from the Crowd:System software experience including multi-threaded and CUDA developmentPrior experience with a broad range of computer vision challenges such as real-time streaming, augmented reality, or data curationExposure to latest advances such as Gaussian Splatting, World Models, and Vision Language Models.Innovative results including patents, publications, or exciting product featuresThe base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI the next era of computing. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities that are hard to solve, that only we can tackle, and that matter to the world. This is our life’s work, to amplify human creativity and intelligence. Make the choice and join us today!We are looking for mixed-signal/analog design engineers to be part of the team building next generation High Speed IOs for GDDR/HBM Memory Interfaces. This position offers the opportunity to have real impact in a dynamic, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence.What you'll be doing:You will be responsible for the development and implementation of high speed interfaces and analog circuits.Hands on experience taking creative integrated circuit designs at data rates of 20Gbps and higher from concept through silicon characterization.Define circuit requirements and complete design from schematic, layout, and verification to characterization.Conduct schematic design of deep-submicron CMOS technologies using Spectre, Hspice or like.Take ownership for the architecture, transistor design and verification using industry standard EDA tools such as Cadence virtuoso.Optimize circuit to meet the specifications for system performance.Work with layout engineers by providing detailed floorplan and guidance for matching and high-speed routings.Provide support for post-silicon bring-up and debugging.What we need to see:Master of Science or foreign equivalent degree in Electrical Engineering, Computer Engineering or related field with strong analog design background (or equivalent experience).5+ years of proven experience in crafting test bench environments for component and top level circuit verification.Strong Mixed-signal/Analog circuit design fundamentals.CMOS Analog / Mixed Signal Circuit Design Experience in deep sub-micron process (especially in FINFET).Experience with design and verification tools (Cadence's IC design environment, analog circuit simulation tools like Spectre, HSpice, Finesim, XA).Behavioral modeling of analog and digital circuits.Strong debugging and analytical skills.Analog simulation for noise analysis, loop stability analysis, ac/dc/tran analysis, monte-carlo, etc.Strong interpersonal skills and ability and dream to work as an outstanding teammate are huge plus.NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most brilliant and talented people in the world working for us. If you're creative and autonomous, we want to hear from you!The base salary range is 164,000 USD - 304,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
We are now looking for a Senior Power Architecture and Optimization Engineer! NVIDIA prides ourselves in having energy efficient products. We believe that continuing to maintain our products' energy efficiency compared to competition is key to our continued success.Our team is responsible for analyzing fullchip and unit-level power data, and driving ASIC teams to improve their units’ power efficiency; and is responsible for researching, developing, and deploying methodologies to help NVIDIA's products become more energy efficient. Key responsibilities include developing techniques to model, analyze, and reduce power consumption of NVIDIA GPUs. As a member of this team, you will collaborate with Architects, Performance Engineers, Software Engineers, ASIC Design Engineers, and Physical Design teams to study and implement power analysis and reduction techniques for NVIDIA's next generation GPUs and Tegra SOCs. Your contributions will help us gain early insight into energy consumption of graphics and artificial intelligence workloads, and will allow us to influence architectural, design, and power management improvements.What You'll Be Doing:Use internally developed tools and industry standard pre-silicon gate-level and RTL power analysis tools, to help improve product power efficiency.Develop and share best practices for performing pre-silicon power analysis.Perform comparative power analysis, to spot trends and anomalies, that warrant more scrutiny.Interact with architects and RTL designers to help them interpret their power data and identify power bugs; drive them to implement fixes.Select and run a wide variety of workloads for power analysis.Prototype a new architectural feature in Verilog and analyze power.Automate flows, define new flows to fast-track Power anomaly detection.Use AI to come up with Power optimization solutions. What We Need To See:MS (or equivalent experience) with 3+ years of experience or PhD in related fields.Strong understanding of concepts of energy consumption, estimation, data movement and low power design.Familiarity with Verilog and ASIC design principles, including knowledge of Power Artist, PTPX (Prime Power RTL, RTL Architect).Good verbal/written English and interpersonal skills; much collaboration with design teams is expected.Strong coding/automation skills, preferably in Python, Perl, and C++.Desire to bring data-driven decision-making and analytics to improve our products.The base salary range is 128,000 USD - 258,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
We are now looking for a motivated Senior Circuit Design Engineer to join our dynamic and growing team. If you are looking for a challenging and exciting role in improving the netlist and timing quality of our designs and if you are a self-starter and highly motivated individual who loves to collaborate and find solutions to hard technical problems, join us today!NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI the next era of computing. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities which are hard to solve, that only we can pursue, and that matter to the world. This is our life’s work, to amplify human inventiveness and intelligence.What you’ll be doing:Participate in cutting edge Processor design in deep submicron technologies.Work as part of a global circuits team to design innovative circuits (digital, semi-custom and mixed-signal analog) for silicon correlation and hardware security.Collaborate and work closely with cross-functional teams to improve the performance, reliability and security of Nvidia’s next generation products by performing detailed transistor-level analysis on the design.Drive the design and physical implementation of digital and/or mixed-signal analog circuit IPs for current and voltage sensing, and common Security attacks detection and protection using custom and automated tools.Create prototypes of patentable ideas on test chips and drive them to be deployed across the entire line of products.Be a mentor/technical lead for junior team members.What we need to see:BSEE (or equivalent experience)/MS preferred in Electrical or Computer Engineering with 8+ years of circuit design experienceGood understanding of deep submicron process issues and digital circuit design techniquesFamiliarity/experience with analog and mixed-signal block design such as op-amp, comparator, ADC etc.Hands on experience running Spice simulations, EM/IR analysis, and static timing analysis/closureExperience with spice simulation for noise analysis, loop stability analysis, ac/dc/transient analysis, monte-carlo, etcWays to stand out from the crowd:Hands on experience in design and analysis of digital and mixed-signal analog current and voltage sensors is a plusExposure to security attacks and common detection circuits is a plusExperience with RTL, logic synthesis and verification, knowledge of Place and Route, and understanding of Design-for-test (DFT) is a plus.Proficiency in scripting language, such as, Perl, Tcl, Make and automation methods/algorithms is a plus.Prior leadership experience is a plus.NVIDIA is a pioneer in bringing groundbreaking technology to new markets. We have some of the most forward-thinking and hardworking people in the world working with us. If you're creative and autonomous, we want to hear from you!The base salary range is 164,000 USD - 304,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
We are hiring senior engineers to work on the CUDA driver and runtime, core components of our platform for accelerating general purpose computation on the GPU. Our team analyzes performance of applications, investigates bottlenecks in software or hardware and delivers features and improvements to better realize the potential of NVIDIA hardware for a growing range of computational workloads, ranging from deep learning, scientific computation, and self-driving cars to video games and virtual reality.CUDA defines a unified programming model across a range of system configurations and hardware capabilities. To accomplish this, the CUDA driver interacts with GPU hardware, kernel mode drivers, and the operating system.What you'll be doing:As a member of our team, you will use your design abilities, coding expertise, and creativity to deliver the best compute platform in the world. You will craft elegant solutions to exciting problems and craft the future direction of CUDA as you collaborate with your peers across NVIDIA. You will investigate complex performance problems and deliver robust solutions that accelerate applications.Evangelize, architect, and implement new featuresOversee and drive development efforts across multiple teamsAnalyze full stack performance ranging from application level through libraries, system software, kernel software and hardwareDefine forward-looking improvements to the CUDA APIs and programming modelCreate novel system software optimizationsWrite effective, maintainable, and well-tested codeDevelop code for multiple operating systemsWhat we need to see:BS or MS degree in Computer Science, Electrical Engineering (or equivalent experience)5+ years of related development experienceStrong C programming skillsExperience working with large codebasesTrack record of debugging performance problems in complex environments with software and hardware componentsExperience with operating system interfaces for threads, process control, and virtual memoryExperience writing and debugging multithreaded programsDeep understanding of technology and passionate about what you doStrong collaborative and interpersonal skills, specifically a proven ability to effectively guide and influence within a dynamic matrix environment. Good written communication.Ways to stand out from the crowd:Understanding of system level architecture, such as interconnects, memory hierarchy, interrupts, and memory-mapped IOExperience with performance tuning of device drivers or low level system softwareExperience with performance optimizations across a variety of CPU architectures - like x86, POWER and ARMKnowledge of memory coherence and consistency modelsExperience with Windows, Linux, or macOS driver developmentNVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most brilliant and hardworking people in the world working for us. If you're creative and autonomous, and are interested in working on novel problems in a collaborative environment, we want to hear from you!The base salary range is 148,000 USD - 276,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA is looking for a Senior Design Engineer for our Coherent High Speed Interconnect team! For two decades, NVIDIA has pioneered visual computing, the art and science of computer graphics. With our invention of the GPU - the engine of modern visual computing - the field has grown to encompass video games, movie production, product design, medical diagnosis, and scientific research! Today, we stand at the beginning of the next era, the AI computing era, ignited by a new computing model, GPU deep learning. This new model - where deep neural networks are trained to recognize patterns from substantial amounts of data - has shown to be deeply effective at solving the most sophisticated problems in everyday life.What You'll be Doing:You will be working on architecture and design of our state-of-the-art high speed coherent interconnects (NVLINK-C2C) for our mobile SoCs and GPUs.You will collaborate with architects, external partners, software engineers, and circuit designers to deliver a class leading high speed coherent interconnect.The NVLINK-C2C enables the creation of a new class of integrated products with NVIDIA partners, built via chiplets, allowing NVIDIA GPUs, DPUs, and CPUs to be coherently interconnected with custom silicon.To learn more about NVIDIA's ultra-fast chip interconnect technology visit: https://www.nvidia.com/en-us/data-center/nvlink-c2c/This position offers the opportunity to have real impact in a dynamic, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence. We have crafted a team of outstanding people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing.What We Need to See:BS or equivalent experience in Electrical Engineering or Computer Engineer or related degree required, advanced degrees (MS, PhD) a plus.3+ years or relevant design experienceKnowledge of industry standard interconnect protocols like PCIE, CXL, AXI, CHI will be useful.Experience and knowledge in architecture, RTL design, performance analysis and power optimization.Strong working knowledge of Verilog or System Verilog.Good communication skills and interpersonal skills are required. A history of mentoring junior engineers and interns a huge plus.The base salary range is 164,000 USD - 356,500 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
We are now looking for a Senior Performance Software Engineer for Deep Learning Libraries! Do you enjoy tuning parallel algorithms and analyzing their performance If so, we want to hear from you! As a deep learning library performance software engineer, you will be developing optimized code to accelerate linear algebra and deep learning operations on NVIDIA GPUs. The team delivers high-performance code to NVIDIA’scuDNN,cuBLAS, andTensorRTlibraries to accelerate deep learning models. The team is proud to play an integral part in enabling the breakthroughs in domains such as image classification, speech recognition, and natural language processing. Join the team that is building the underlying software used across the world to power the revolution in artificial intelligence! We’re always striving for peak GPU efficiency on current and future-generation GPUs. To get a sense of the code we write, check out ourCUTLASS open-source project showcasing performant matrix multiply on NVIDIA’sTensor Cores with CUDA. This specific position primarily deals with code lower in the deep learning software stack, right down to the GPU HW.What you'll be doing:Writing highly tuned compute kernels, mostly in C++ CUDA, to perform core deep learning operations (e.g. matrix multiplies, convolutions, normalizations)Following general software engineering best practices including support for regression testing and CI/CD flowsCollaborating with teams across NVIDIA:CUDA compiler team on generating optimal assembly codeDeep learning training and inference performance teams on which layers require optimizationHardware and architecture teams on the programming model for new deep learning hardware featuresWhat we need to see:Masters or PhD degree or equivalent experience in Computer Science, Computer Engineering, Applied Math, or related field6+ years of relevant industry experienceDemonstrated strong C++ programming and software design skills, including debugging, performance analysis, and test designExperience with performance-oriented parallel programming, even if it’s not on GPUs (e.g. with OpenMP or pthreads)Solid understanding of computer architecture and some experience with assembly programmingWays to stand out from the crowd:Tuning BLAS or deep learning library kernel codeCUDA/OpenCL GPU programmingNumerical methods and linear algebraLLVM, TVM tensor expressions, or TensorFlow MLIRNVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hard working people in the world working for us. If you're creative, autonomous, and love a challenge, consider joining our Deep Learning Library team and help us build the real-time, cost-effective computing platform driving our success in this exciting and quickly growing field.The base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.#deeplearning
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
Come be a part of new process technology adoption by joining NVIDIA's Advanced Technology Group! Work as part of the advanced technology team to optimize design tradeoffs and methodology on next generation CMOS technology. We are looking for a Senior DFT Engineer to join our dynamic and growing team! If you are problem solver and highly motivated individual searching for a collaborative and exciting role, join us today. We encourage applicants with a history of proven success working in a multicultural and diverse environment.NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI the next era of computing. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities which are hard to solve, that only we can pursue, and that matter to the world. This is our life’s work, to amplify human inventiveness and intelligence.What you'll be doing:You will be responsible for all aspects of testing including methodology, logic insertion, verification, test pattern generation, test program bring-up, and complex debug/FA to resolve any issues.Finding the right tradeoffs between test time and the ability to collect necessary data to monitor the health of next generation process nodes.Work as part of the team to design and tapeout advanced packaging vehicles.What we need to see:Hold a BS in Electrical or Computer Engineering or equivalent experience.8+ years experience in design for test.In-depth knowledge of various test architectures including IEEE1149.1, IEEE1500, SSN scan compression, IOBIST, and memory BIST.Expertise in design verification and pattern generation. This includes developing new flows and enhancing existing flows.Experience in doing ATE test program development and bring-up including specing custom test methods needed for data collection.Hands-on knowledge of industry standard DFT EDA tools.Proficiency in programming and scripting languages, such as Python, TCL, and Perl.Ways to stand out from the crowd:Experience with DDR and HBM high speed I/O testing.Hands on experience with failure analysis equipment like LVP, photon emission, and ebeam probing.Proficiency with Advantest 93k testers.NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and talented people in the world working for us. If you're creative and autonomous, we want to hear from you.The base salary range is 164,000 USD - 304,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
10/3/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA software powers today's breakthroughs in AI! To enable researchers and developers to keep pace with this dynamic field, we seek a technical marketing expert who understands the AI platform software stack and the ecosystem! This role will craft the fundamental technical content educating developers how to write software's using NVIDIA's AI platforms through technical blog posts, user guides, walk-throughs, benchmark and more. This material is essential in mentoring developers about the latest advancements in the NVIDIA AI platform SW. Do you appreciate the value of a well-done design guide If so, we'd like your help empowering developers across deep learning training and inference.What You'll be Doing:Collaborating with internal and external deep learning engineers and researchers to build product-based training material and how-to technical contentBeing the champion for AI among the NVIDIA developer community by interacting and answering questions about the product on Github and other forumsImproving product documentations to be clear and self-explanatoryFacilitating channel customer usability feedback from the external community and partnering with internal teams to improve NVIDIA AI Platforms to be the easiest to useProviding code guidelines to DL developers by implementing samples and proof of concept applicationsBenchmarking and generating data for better positioning of NVIDIA's SW productWhat We Need to See:Bachelor’s degree in Computer Science, Computer Engineering, or similar field or equivalent experience5+ years of meaningful work experience in software development, technical evangelism, technical marketing, developer marketing, or similar at a technology company3+ year of experience with deep learning or machine learningStrong knowledge of Python or C/C++, programming techniques, and software developmentStrength presenting to technical audiences and generating content for developersPrior success in juggling multiple projects at a timeWays to Stand Out from the Crowd:Advanced knowledge of LLMs, modern AI software architecture and cloud APIsExisting public facing technical content, forum contributions or open source projectsFamiliarity with PyTorch, JAX, vLLM or other training & inference frameworks#LI-HybridThe base salary range is 124,000 USD - 247,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA is hiring software engineers at all experience levels to build and optimize the tools Deep Learning engineers use across the world to design, develop, and deploy AI applications. This position will embed you in an ambitious and diverse team that influences all areas of NVIDIA's AI platform and directly contributes to premiere Deep Learning frameworks - Tensorflow, PyTorch, and MXNet. In this role you will work with multiple teams at NVIDIA across fields, as well as collaborate with the open-source community to optimize the best AI platform in the world.What you will be doing:Optimize the performance of Deep Learning models for NVIDIA GPUs and systems.Study and tune Deep Learning training workloads at large scale.Optimize production AI models used by enterprise customers and partners.Build and support NVIDIA submissions to community benchmarks like MLPerf.Optimize the performance of influential, contemporary models coming out of academic and industry research, for NVIDIA GPUs and systems.Deliver the benefits of NVIDIA’s latest hardware and platform software innovations to the Deep Learning community.Inform design of new hardware generations, and core platform software components for NVIDIA GPUs and systems.What we need to see:BS or equivalent experience in Computer Science, Electrical Engineering or a related field.2+ years of experience with C++ and Python programming.Strong background with parallel programming, preferably on GPUs.Knowledge of Computer Architecture and/or Operating Systems.Proven experience developing large software projects.Excellent verbal and written communication skills.Ways to stand out from the crowd:Experience in PyTorch, Tensorflow or MXNet.Background with performance analysis and profiling of workloads.Participation in the open source community.Proven experience working with multidisciplinary teams.With highly competitive salaries and a comprehensive benefits package, NVIDIA is widely considered to be one of the technology industry's most desirable employers. We have some of the most forward-thinking and dedicated people in the world working with us and our engineering teams are contributing to some of the hottest state of the art fields: Deep Learning, Artificial Intelligence, and Autonomous Vehicles. If you're a creative and motivated software engineer with a real passion for building fast software solutions, as well as impacting AI development worldwide, we want to hear from you. The base salary range is 120,000 USD - 230,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.#deeplearning
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
Our technology has no boundaries! NVIDIA is building the world’s most groundbreaking and state of the art compute platforms for the world to use. It’s because of our work that scientists, researchers and engineers can advance their ideas. At its core, our visual computing technology not only enables an amazing computing experience, but it is also energy efficient! We pioneered a supercharged form of computing loved by the most demanding users in the world - scientists, designers, artists, and gamers. It’s not just technology though! It is our people, some of the brightest in the world, and our company culture make NVIDIA one of the most fun, innovative and multifaceted places to work in the world! At the center of NVIDIA's culture are our core values like innovation, excellence and determination and team, which guide us to be the best we can be.We are building a team who will be developing a system aimed at 5G wireless products based on the power of our GPU. Enabling 5G PHY/MAC layers, we will be using traditional signal processing algorithms but parallelizing them on the GPU. We also plan to apply Machine Learning / Deep Learning to address PHY/MAC challenges. We are looking for a motivated and energetic senior member to take a strategic role in the architecture and the entire software product development life cycle.What you'll be doing:As a member of Aerial Sim Team you will craft and implement innovative simulation environments (e.g., digital twins using Nvidia’s Omniverse) for Nvidia’s 5G vRAN stack.Work with 5G standardization delegates, 5G systems leads, ML researchers applying AI to 5G.Collaborate with Nvidia’s CUDA group to build the simulation tools vital to create, standardize and deploy tomorrow’s cellular networks.Work closely with the other groups in NVIDIA such as Omniverse teams to deliver a complete simulation capability.What we need to see:BS/MS in a relevant field or equivalent experience.10+ years’ experience or PhD with 5+ years’ experience or equivalent.Track record in the field of crafting, implementing and performing multi-cell system-level simulators, e.g., for quantifying the impact on system spectral efficiency of key choices at PHY and MAC level.Experience with signal processing at the PHY layer or resource allocation optimization at MAC level.Knowledge of channel modelling, microwave/mmwave EM propagation, CPU and GPU computing architecture key aspects.Must have experience in C/C++, Python and shell scripting.Run large multi-language software code bases.Ways to stand out from the crowd:Experience with digital twins, or site-specific channel models based on ray-tracing and high-frequency EM frameworks.Attention to detail and an appetite to learn about the simulation of wireless networks at outstanding scales and accuracies.Strong communication and collaborative skills.With competitive salaries and a generous benefits package (www.nvidiabenefits.com), we are widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us and, due to outstanding growth, our best-in-class engineering teams are rapidly growing. If you're a creative and autonomous engineer with a real passion for technology, we want to hear from you!The base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA’s invention of the GPU in 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI the next era of computing with the GPU acting as the brain of computers, robots, and self-driving cars that can perceive and understand the world. Today, we are increasingly known as “the AI computing company.” We're looking to grow our company and build our teams with the smartest people in the world. Join us at the forefront of technological advancement.We’re looking for a Senior Optical Mixed Signal Design Validation Engineer to drive development of test methodologies, analysis, and debugging of NVIDIA's electro-optical transceiver modules.What you'll be doing:Develop validation test methods for new photonic designs from the Mixed-Signal Design groupInteract with multi-functional groups to support chip validation and ramp to productionCharacterize fundamental optical, electro-optical, and RF performance of new chip designsValidate, debug, and characterize new analog, photonic, electrical-optical, and mixed-signal designsProvide feedback to designers on silicon performance, design quality, and marginsVerify electrical-optical compliance to industry standardsTrain new engineers in validation practicesWhat we need to see:Master of Science in Electrical Engineering, Physics, Computer Engineering, or equivalent experience.Deep understanding of fundamental optical components and analog circuitsRF testing experience at die or module level8+ years of experience measuring, analyzing, and debugging complex mixed-signal designsExperience with Python, Git, Matlab, or JMPAbility to code scripts for validation, debug, data analysis, and automationExtremely responsive to time sensitive show-stopping issuesConsistent, persistent, and efficientAbility to work in a team environmentDetailed knowledge of optical lab equipmentWays to stand out from the crowd:Optical transceiver performance testing at high data ratesExperience with control loopsWorking knowledge of wafer probe test systems and wafer handlingNVIDIA is widely considered to be the leader of AI computing, and one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. If you're creative and autonomous, we want to hear from you.The base salary range is 164,000 USD - 304,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA is the leader in AI, machine learning and datacenter acceleration. NVIDIA is expanding that leadership into datacenter networking with ethernet switches, NICs and DPUs NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI the next era of computing. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities that are hard to solve, that only we can tackle, and that matter to the world. This is our life’s work, to amplify human imagination and intelligence. Make the choice, join our diverse team today!As a member of the GPU AI/HPC Infrastructure team, you will provide leadership in the design and implementation of ground breaking GPU compute clusters that powers all AI research across NVIDIA. We seek an expert to build and operate these clusters at high reliability, efficiency, and performance and drive foundational improvements and automation to improve researchers productivity. As a Site Reliability Engineer, you will help us with the strategic challenges we encounter including: compute, networking, and storage design for large scale, high performance workloads, effective resource utilization in a heterogeneous compute environment, evolving our private/public cloud strategy, capacity modeling, and growth planning across our global computing environment and building automation and tooling.What you'll be doing:In this role you will be building and improving our ecosystem around GPU-accelerated computing including developing large scale automation solutions. You will also be maintaining and building deep learning AI-HPC GPU clusters at scale and supporting our researchers to run their flows on our clusters including performance analysis and optimizations of deep learning workflows. You will design, implement and support operational and reliability aspects of large scale distributed systems with focus on performance at scale, real time monitoring, logging and alerting. Additional responsibilities include:Design and implement state-of-the-art GPU compute clusters.Optimize cluster operations for maximum reliability, efficiency, and performance.Drive foundational improvements and automation to enhance researcher productivity.Tackle strategic challenges in large-scale, high-performance computing environments.Troubleshoot, diagnose and root cause of system failures and isolate the components/failure scenarios while working with internal & external partners.Building automation for AI-HPC GPU Cluster bring up and scaled up operation.Write and review code, develop documentation and capacity plans, debug the hardest problems, live, on some of the largest and most complex systems in the world.Implement remediations across software and hardware stack according to plan, while keeping a thorough procedural record and data log.Manage upgrades and automated rollbacks across all clusters.What we need to see:Bachelor’s degree in Computer Science, Electrical Engineering or related field or equivalent experience with a minimum 5 years of experience designing and operating large scale compute infrastructureProven experience in site reliability engineering for high-performance computing environments with operational experience of at least 5K GPUs cluster.Deep understanding of GPU computing and AI infrastructure.Passion for solving complex technical challenges and optimizing system performance.Experience with AI/HPC advanced job schedulers, and ideally familiarity with schedulers such as Slurm.Working knowledge of cluster configuration management tools such as BCM or Ansible and infrastructure level applications, such as Kubernetes, Terraform, MySQL, etc.In depth understating of container technologies like Docker, Enroot, etc.Experience programming in Python and Bash scripting.Ways to stand out from the crowd:Familiarity with NVIDIA GPUs, Cuda Programming, NCCL and MLPerf benchmarking.Familiarity with InfiniBand with IBoIP and RDMA.Experinece with Cloud Deployment, BCM, Terraform.Understanding of fast, distributed storage systems like Lustre and GPFS for AI/HPC workloads.Familiarity with deep learning frameworks like PyTorch and TensorFlow.Multi-cloud experience.The base salary range is 148,000 USD - 276,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
We are now looking for a senior software engineer for our Robotics Team! For two decades, we have pioneered visual computing, the art and science of computer graphics. With our invention of the GPU - the engine of modern visual computing - the field has expanded to encompass video games, movie production, research, and autonomous machines. The team is building a robotics platform for developing the next generation of intelligent robots. NVIDIA’s Isaac Robotics Platform is binding together high-fidelity visual and physical simulation, a high-quality developing platform, hundreds of optimized algorithms to address hard problems in computer vision and artificial intelligence, and a small and powerful computational platform to form the brain of intelligent machines. Our team consists of experts from all over the world and dozens of different fields, and together we want to change the way robots are programmed.What you’ll be doing:Lead the design & development of an AI platform to enable industrial grade robotics solutionDeploy solutions to enable customers to run their AI workflows on the platformDebug, and optimize full software stack from front-end to backendWork closely with dependent teams to drive the project.Responsible for issue management during integration, testing, deployment & quality assurance.What we need to see:BS, MS, or PhD degree in Computer Science, Electrical Engineering, Computer Engineering, or related field (or equivalent experience)5+ years of work experience. At least 2+ years of experience working on cloud platformsExperience with Docker & Kubernetes used for scalable fault-tolerant service deploymentsExcellent Python programming skills & proficient in other scripting languagesStrong data skills & software designAbility to learn fast & debug complex system issuesAbility to adapt quickly to varying roles & responsibilitiesStrong communication skills & ability to work across teamsWays to stand out from the crowd:Proficiency with container orchestration system such as KubernetesDeployment with various cloud service providers such as AWS, GCP and Microsoft AzureHands-on experience building data platform to scale the AI workflowsDisplay technical ownership in a cross organizational, multi-disciplinary team.Practice leading a software project involving 4 or more engineers.NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. If you are creative and autonomous, we want to hear from you!The base salary range is 148,000 USD - 276,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 fueled the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI and enabled the next era of computing. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities that are hard to address, that matters to the world, and that only we can address. This is our life’s work, to amplify human imagination and intelligence, and expand what is possible. We’re seeking strategic, bold, hard-working, and creative individuals who are passionate about helping us tackle challenges no one else can solve. Make the choice to join us today.We are looking for a Senior Software Engineer to join our mission to continue improving our HPC infrastructure. Our team builds and operates sophisticated infrastructure to enable business critical services and AI applications. You will be working with a team of passionate and skilled engineers that are continuously working to provide better tools to build and manage this infrastructure. Ideal candidate is strong in software development, designing and creating reliable distributed systems, and has the ability to implement well thought out long term maintenance strategy.What you’ll be doing:Design highly available and scalable systems to meet the demands of our HPC clustersEvaluate new and innovative technologies as the landscape evolvesContinuously improve infrastructure provisioning and management using automationSupport a globally distributed, multi-cloud hybrid environment - AWS, GCP and On-premBuild strong cross functional relationships and align with partners across various business unitsEnsure the highest level of up-time and Quality of Service (QoS) to our users through operational excellenceParticipate in team's on-call rotation and be a contact for service incidentsWhat we need to see:10+ years of experience in design, implementation, and delivery of large engineering projectsComfortable with at least two of the following programming languages: Golang, Java, C/C++, Scala, Python, Elixir.Understands scalability challenges and performance of server-side code. Able to craft and develop horizontally-scalable, resilient and performing-under-load systems.Versatile technologist with experience in full software development lifecycle – from inception and design to deployment, operation, and iterative development.Proficient in cloud computing and are hands-on in at least one cloud platform: GCP, AWS, or Azure.Proficient in modern CI/CD techniques, GitOps and Infrastructure as Code(IaC)Strong work ethic and a passion for problem solvingB.S. degree in Computer Science or related technical field (or equivalent experience)Detail oriented with great communication and collaboration skillsWays to stand out from the crowd:Prior experience building solutions for HPC clusters based on Slurm or KubernetesStrong understanding of Linux operation system and TCP/IP fundamentalsThe base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/26/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA has been transforming computer graphics, PC gaming, and accelerated computing for more than 30 years. It’s a unique legacy of innovation that’s fueled by great technologyand amazing people. Today, we’re tapping into the unlimited potential of AI to define the next era of computing, an era in which our GPUs serve as the brains of computers, robots, and self-driving cars that can understand the world. Doing what’s never been done before takes vision, innovation, and the world’s best talent. As an NVIDIAN, you’ll be immersed in a diverse, supportive environment where everyone is inspired to do their best work.The Robotics Software team builds the NVIDIA Isaac platform, powering countless robots around the world. A growing focus is advanced manipulation guided by cameras or other sensors, where accelerated computing is revolutionizing manufacturing, logistics, and any application where a robot must interact with the physical world.We are now looking for a Robotics Software Engineer to join the team!What you'll be doing:Building the next generation of NVIDIA cuMotion, empowering robot arms to move at unprecedented speeds even in cluttered environments.Crafting robust and performant implementations of motion generation algorithms that you invent in collaboration with creative researchers and engineers.Refining, training, and testing control schemes in simulation and on physical robots.Working with an outstanding team of robotics software engineers to deliver the technology you develop to leading companies and researchers, including as open-source software.What we need to see:BS, MS, or PhD degree in Computer Science or a related field, or equivalent experience.You have 5+ years of experience developing optimized implementations of numerical or geometric algorithms, preferably in an industry setting.You’re proficient in modern C++ and Python.Prior research or projects related to motion planning and control, simulation, or a related area.You're self-motivated and willing to work with a distributed team to break new ground.Ways to stand out from the crowd:Possess a passion for robotics and building production software.Experience with ROS 2, including ros2_control and MoveIt 2.Additional expertise in machine learning, computer vision, physics simulation, or computer graphics.Experience with parallel programming and especially CUDA C++.You are comfortable working in multifaceted and ever-evolving environments.The base salary range is 148,000 USD - 276,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
Full Time
9/25/2024
Santa Clara, CA 95050
(36.9 miles)
NVIDIA is a world-leader in high speed computer vision, artificial intelligence, and deep learning. Our team builds the accelerated software ecosystem that enables visual AI developers to innovate swiftly and efficiently at scale.We are now looking for an outstanding CUDA developer to build developer-facing libraries and services that will accelerate the development of autonomous vehicles, humanoid robots, XR, and manufacturing. The right candidate will combine deep experience with the 3D application domain with strong collaboration and passion for efficient, well-crafted software. Looking for a chance to make gaussian splatting, NERFs, and more run exceptionally fast on enormous volumes of data This could be it!What you'll be doing:Partner with research and product teams to identify common requirements, consolidate our best 3D software and algorithms, and productize solutions.Implement and improve point cloud, voxel, neural rendering (NERF, Gaussian Splatting) and other computer vision algorithms using CUDA.Establish and drive product-critical performance and quality metrics.Profile, debug, and optimize multi-petabyte computer vision workloads for efficiency, latency, and throughput.Contribute to large codebases combining custom C++ and Python with distributed architectures (microservices, Kubernetes, Triton) to deliver computer vision at scale.What we need to see:Master's of Science in Computer Science, Electrical engineering, or Physics or equivalent experience.10+ years of practical experienceExcellent software engineering fundamentals (source control, CI/CD, testing/validation, packaging, containerization, release). Proven track record developing, testing and releasing production-grade, complex software.Proficiency with C++, CUDA, and PythonBroad experience building 3D computer vision libraries and applications.Strong fundamentals with multi-threaded and distributed software development.Grounding in mathematics including linear algebra, numerical methods, statistics, and exploratory data analysis.Pragmatism to efficiently bring meaningful products to market and persistence to improve them over time.Strong collaboration skills to partner with researchers, application developers, and infrastructure and MLOps teams.Ability and desire to learn new technologies.Ways to Stand Out from the Crowd:Familiarity with common deep learning frameworks such as PyTorch, OnnxRT, or JAXCurrent with the latest work in Gaussian Splatting and NERF. Armed with opinions and insight on how to combine these approaches with classic computer vision and graphics methods for outstanding results.Experience with 3d graphics and ray tracingHistory of creativity and innovation around computer vision including patents and publications#LI-HybridThe base salary range is 220,000 USD - 419,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.NVIDIA is committed to fostering a diverse work environment and proud to be an equal opportunity employer. As we highly value diversity in our current and future employees, we do not discriminate (including in our hiring and promotion practices) on the basis of race, religion, color, national origin, gender, gender expression, sexual orientation, age, marital status, veteran status, disability status or any other characteristic protected by law.
This website uses cookies for analytics and to function properly. By using our site, you agree to these terms.